diff --git a/mate-terminal.wrapper b/mate-terminal.wrapper index 76519ab..fad3a86 100755 --- a/mate-terminal.wrapper +++ b/mate-terminal.wrapper @@ -1,4 +1,4 @@ -k#! /usr/bin/perl -w +#!/usr/bin/perl -w my $login=0;